Contenu | Rechercher | Menus

Annonce

Si vous avez des soucis pour rester connecté, déconnectez-vous puis reconnectez-vous depuis ce lien en cochant la case
Me connecter automatiquement lors de mes prochaines visites.

À propos de l'équipe du forum.

#1 Le 04/10/2007, à 13:47

Patou355

VHDL avec GHDL...

Bonjour à tous.
Je suis débutant en VHDL, et on vient juste de démarrer le cours. J'ai donc voulu essayer un simulateur, de préférence libre. GHDL m'a paru être celui qui convenait le mieux.
Je l'ai installé, et essayé de compiler un fichier .vhd créé par mon prof (je ne peux donc malheureusement pas me permettre de mettre le fichier en entier), et là j'ai eu un problème, sur les 3 librairies demandées en en-tête, il me dit qu'il y en a 2 qu'il ne trouve pas.
Voici les lignes 24 à 27 de son fichier :

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

et voici ce que me répond ma console :

patrick@patrick-desktop:~/MesDocuments/Cours/VHDL$ ghdl -a --std=02 afficheur.vhd
afficheur.vhd:26:10: primary unit "std_logic_arith" not found in library "ieee"
afficheur.vhd:27:10: primary unit "std_logic_unsigned" not found in library "ieee"
/usr/lib/ghdl/bin/ghdl: compilation error

Je tiens à ajouter que mon prof ne connaît que les versions propriétaires limitées qui fonctionnent avec W... que je n'ai même pas sur mon PC.
J'ai essayé les différents standards : 87, 93, 2002.
Le but de ce fichier est de simuler un circuit ayant pour entrée un mot de 4 bits et en sortie un afficheur 7 segments.

Merci à qui pourra m'aider...
Patrick

Hors ligne

#2 Le 07/10/2007, à 01:46

Patou355

Re : VHDL avec GHDL...

up ?

Hors ligne

#3 Le 10/10/2007, à 22:13

Patou355

Re : VHDL avec GHDL...

vraiment, personne ne sait ?

Hors ligne

#4 Le 12/10/2007, à 09:01

toma

Re : VHDL avec GHDL...

Essaie plutôt

ghdl -a --std=02 --ieee=synopsys afficheur.vhd

ou

ghdl -a --std=02 --ieee=mentor afficheur.vhd

en fonction du logiciel utilisé par ton prof (mentor ou synopsys) wink

C'est parce que les bibliothèques std_logic_arith et std_logic_unsigned ne sont pas standardisées. Il faut donc lui dire explicitement où aller les chercher.


... when you look long into an abyss, the abyss also looks into you.
-- Friedrich Nietzsch

Hors ligne

#5 Le 21/05/2008, à 12:52

AnKhFRCH

Re : VHDL avec GHDL...

J'avais le même problème et je voulais juste confirmer que chez moi ça marche comme ça !

Merci !:D