Contenu | Rechercher | Menus

Annonce

Si vous avez des soucis pour rester connecté, déconnectez-vous puis reconnectez-vous depuis ce lien en cochant la case
Me connecter automatiquement lors de mes prochaines visites.

À propos de l'équipe du forum.

#1 Le 08/04/2016, à 10:09

Rochazer

Demande d'aide pour instaler l'environement d'un FPGA

Bonjours,

Pour mon stage de fin d'étude je dois reprogrammer un FPGA (Kintex 7 XC7K410T) du USRP X310 pour créé un compteur permettant de commander l’acquisition des capteurs pour augmenter la vitesse de l'acquisition générale.
Pour le moment j'ai réalisé ces manip' : (c'est ma fiche compte rendu)

Il faut suivre les instructions de la doc :

1-    Télécharger et installer le logiciel Xilinx Vivado 2015.4 Il faut bien récupérer la suite Vivado
« Design Suite - HLx Editions - Single File Download ». 
J’ai suivi les instructions, il est donc installé dans /opt/Xilinx. L’aide d’installation est utile, je l’ai utilisé pour bien installer ce qu’il faut.

2-    Télécharger et installer GNU Make, prendre la dernière version car la 3.6 est trop vielle.

3-    Télécharger GNU Bash, j’ai pris la version 4.0 mais je pense que la dernière version peut être
utilisé.

4-    J’ai installé Doxygen car c’est assez simple, il suffit d’entrer la commande : «  sudo apt-get
install python bash build-essential doxygen »

5-    Pour la construction d’instruction il faut tout d’abord télécharger le fichier source. Puis aller via la console dans usrp3/top/x300 et utiliser la commande « source setupenv.sh ». Entrer ensuite la commande « make ». Normalement les instructions se construisent. Moi ça ne fonctionne pas pour le moment

Mon problème c'est quand je lance le "make" il me commence la construction mais il me met plein de message WARNING ou CRITICAL WARNING.

Est ce que vous avez une idée de ce que je ne fait pas bien.

Voici les imprim' écran de la console

-1-
-2-
-3-
-4-
-5-
-6-
-7-
-8-

Voilà si vous avez des idées ou des conseils je suis vraiment preneur.

Merci

Modération : plutôt que d'envoyer des copies d'écran de votre terminal, il vous faut faire des copier depuis le terminal, et les coller dans votre message entre deux balises code. Vous trouverez les explications sur les balises code ICI. Votre message sera ainsi tout à fait lisible.

Dernière modification par Ayral (Le 08/04/2016, à 10:29)

Hors ligne

#2 Le 08/04/2016, à 11:14

Rochazer

Re : Demande d'aide pour instaler l'environement d'un FPGA

Voilà en format code

rlecornec@open-cs-dell-r220:/opt/fpga-master/usrp3/top/x300$ make
make -f Makefile.x300.inc bin NAME=X300_HGS ARCH=kintex7 PART_ID=xc7k325t/ffg900/-2 ETH10G_PORT1=1 BUILD_1G=1 BUILD_10G=1 NO_DRAM_FIFOS=1 SRAM_FIFO_SIZE=16  VERILOG_DEFS="ETH10G_PORT1=1 BUILD_1G=1 BUILD_10G=1 NO_DRAM_FIFOS=1 SRAM_FIFO_SIZE=16 "
make[1]: entrant dans le répertoire « /opt/fpga-master/usrp3/top/x300 »
BUILDER: Checking tools...
* GNU bash, version 4.0.0(1)-release (x86_64-unknown-linux-gnu)
* Python 2.7.6
* Vivado v2015.4 (64-bit)
========================================================
BUILDER: Building IP ten_gig_eth_pcs_pma
========================================================
BUILDER: Staging IP in build directory...
BUILDER: Reserving IP location: /opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma
BUILDER: Retargeting IP to part kintex7/xc7k325t/ffg900/-2...
BUILDER: Building IP...

****** Vivado v2015.4 (64-bit)
  **** SW Build 1412921 on Wed Nov 18 09:44:32 MST 2015
  **** IP Build 1412160 on Tue Nov 17 13:47:24 MST 2015
    ** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.

source /opt/fpga-master/usrp3/tools/scripts/viv_generate_ip.tcl
# set xci_file         $::env(XCI_FILE)               ;
# set part_name        $::env(PART_NAME)              ;
# set gen_example_proj $::env(GEN_EXAMPLE)            ;
# set synth_ip         $::env(SYNTH_IP)               ;
# set ip_name [file rootname [file tail $xci_file]]   ;
# file delete -force "$xci_file.out"
# create_project -part $part_name -in_memory -ip
# set_property target_simulator XSim [current_project]
# add_files -norecurse -force $xci_file
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2015.4/data/ip'.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.dcp'. Please regenerate to continue.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.v'. Please regenerate to continue.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.vhdl'. Please regenerate to continue.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.vhdl'. Please regenerate to continue.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.v'. Please regenerate to continue.
# reset_target all [get_files $xci_file]
# puts "BUILDER: Generating IP Target..."
BUILDER: Generating IP Target...
# generate_target all [get_files $xci_file]
INFO: [IP_Flow 19-1686] Generating 'Instantiation Template' target for IP 'ten_gig_eth_pcs_pma'...
INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'ten_gig_eth_pcs_pma'...
WARNING: [IP_Flow 19-650] IP license key 'ten_gig_eth_pcs_pma_basekr@2015.04' is enabled with a Design_Linking license.
WARNING: [IP_Flow 19-650] IP license key 'ten_gig_eth_pcs_pma_basekr@2015.04' is enabled with a Design_Linking license.
INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'ten_gig_eth_pcs_pma'...
WARNING: [IP_Flow 19-650] IP license key 'ten_gig_eth_pcs_pma_basekr@2015.04' is enabled with a Design_Linking license.
WARNING: [IP_Flow 19-650] IP license key 'ten_gig_eth_pcs_pma_basekr@2015.04' is enabled with a Design_Linking license.
INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'ten_gig_eth_pcs_pma'...
# if [string match $synth_ip "1"] {
#     puts "BUILDER: Synthesizing IP Target..."
#     synth_ip [get_ips $ip_name]
# }
BUILDER: Synthesizing IP Target...
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2015.4/data/ip'.
Command: synth_design -top ten_gig_eth_pcs_pma -part xc7k325tffg900-2 -mode out_of_context
Starting synth_design
Attempting to get a license for feature 'Synthesis' and/or device 'xc7k325t'
WARNING: [Common 17-348] Failed to get the license for feature 'Synthesis' and/or device 'xc7k325t'
1 Infos, 1 Warnings, 0 Critical Warnings and 1 Errors encountered.
synth_design failed
ERROR: [Common 17-345] A valid license was not found for feature 'Synthesis' and/or device 'xc7k325t'. Please run the Vivado License Manager for assistance in determining
which features and devices are licensed for your system.
Resolution: Check the status of your licenses in the Vivado License Manager. For debug help search Xilinx Support for "Licensing FAQ". 
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Vivado 12-398] No designs are open
****** Webtalk v2015.4 (64-bit)
  **** SW Build 1412921 on Wed Nov 18 09:44:32 MST 2015
  **** IP Build 1412160 on Tue Nov 17 13:47:24 MST 2015
    ** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.

source /opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/.Xil/Vivado-19361-open-cs-dell-r220/webtalk/labtool_webtalk.tcl -notrace
INFO: [Common 17-206] Exiting Webtalk at Fri Apr  8 12:11:30 2016...
INFO: [Vivado 12-3441] generate_netlist_ip - operation complete
# if [string match $gen_example_proj "1"] {
#     puts "BUILDER: Generating Example Design..."
#     open_example_project -force -dir . [get_ips $ip_name]
# }
BUILDER: Generating Example Design...
INFO: [IP_Flow 19-1686] Generating 'Examples' target for IP 'ten_gig_eth_pcs_pma'...

****** Vivado v2015.4 (64-bit)
  **** SW Build 1412921 on Wed Nov 18 09:44:32 MST 2015
  **** IP Build 1412160 on Tue Nov 17 13:47:24 MST 2015
    ** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.

source /opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_ex.tcl
# set srcIpDir "/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma"
# create_project -name ten_gig_eth_pcs_pma_example -force
# set_property part xc7k325tffg900-2 [current_project]
# set_property target_language verilog [current_project]
# set_property simulator_language MIXED [current_project]
# set_property coreContainer.enable false [current_project]
# set returnCode 0
# import_ip -files [list [file join $srcIpDir ten_gig_eth_pcs_pma.xci]] -name ten_gig_eth_pcs_pma
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2015.4/data/ip'.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.dcp'. Please regenerate to continue.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.v'. Please regenerate to continue.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.vhdl'. Please regenerate to continue.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.vhdl'. Please regenerate to continue.
WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.v'. Please regenerate to continue.
CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.dcp' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.dcp'
CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.v' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.v'
CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.vhdl' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.vhdl'
CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.vhdl' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.vhdl'
CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.v' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.v'
# reset_target {open_example} [get_ips ten_gig_eth_pcs_pma]
# proc _filter_supported_targets {targets ip} {
#   set res {}
#   set all [get_property SUPPORTED_TARGETS $ip]
#   foreach target $targets {
#     lappend res {*}[lsearch -all -inline -nocase $all $target]
#   }
#   return $res
# }
# generate_target -quiet [_filter_supported_targets {instantiation_template synthesis simulation implementation shared_logic} [get_ips ten_gig_eth_pcs_pma]] [get_ips ten_gig_eth_pcs_pma]
# add_files -scan_for_includes -quiet -fileset [current_fileset] \
#   [list [file join $srcIpDir example_design/ten_gig_eth_pcs_pma_example_design.v]] \
#   [list [file join $srcIpDir example_design/support/ten_gig_eth_pcs_pma_support.v]] \
#   [list [file join $srcIpDir example_design/support/ten_gig_eth_pcs_pma_ff_synchronizer_rst2.v]] \
#   [list [file join $srcIpDir example_design/support/ten_gig_eth_pcs_pma_shared_clock_and_reset.v]] \
#   [list [file join $srcIpDir example_design/support/ten_gig_eth_pcs_pma_gt_common.v]]
# add_files -quiet -fileset [current_fileset -constrset] \
#   [list [file join $srcIpDir example_design/ten_gig_eth_pcs_pma_example_design.xdc]]
# if { [catch {current_fileset -simset} exc] } { create_fileset -simset sim_1 }
# add_files -quiet -scan_for_includes -fileset [current_fileset -simset] \
#   [list [file join $srcIpDir simulation/demo_tb.v]]
# set_property USED_IN_SYNTHESIS false [get_files [list [file join $srcIpDir simulation/demo_tb.v]]]
# import_files
INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'constrs_1'
INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'sim_1'
INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'sources_1'
# set_property TOP [lindex [find_top] 0] [current_fileset]
# update_compile_order -fileset [current_fileset]
# update_compile_order -fileset [current_fileset -simset]
# generate_target -quiet all [concat [ get_ips -quiet -filter scope=={} ] [get_files -quiet *bd ] ]
# export_ip_user_files -force
INFO: [exportsim-Tcl-35] Exporting simulation files for "XSIM" (Xilinx Vivado Simulator)...
INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/xsim/ten_gig_eth_pcs_pma.sh'
INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/xsim/configure_gt.tcl'
INFO: [exportsim-Tcl-35] Exporting simulation files for "MODELSIM" (Mentor Graphics ModelSim Simulator)...
INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/modelsim/ten_gig_eth_pcs_pma.sh'
INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/modelsim/configure_gt.tcl'
INFO: [exportsim-Tcl-35] Exporting simulation files for "QUESTA" (Mentor Graphics Questa Advanced Simulator)...
INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/questa/ten_gig_eth_pcs_pma.sh'
INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/questa/configure_gt.tcl'
INFO: [exportsim-Tcl-35] Exporting simulation files for "IES" (Cadence Incisive Enterprise Simulator)...
INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/ies/ten_gig_eth_pcs_pma.sh'
INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/ies/configure_gt.tcl'
INFO: [exportsim-Tcl-35] Exporting simulation files for "VCS" (Synopsys Verilog Compiler Simulator)...
INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/vcs/ten_gig_eth_pcs_pma.sh'
INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/vcs/configure_gt.tcl'
# set dfile "/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/oepdone.txt"
# set doneFile [open $dfile w]
# puts $doneFile "Open Example Project DONE"
# close $doneFile
# if { $returnCode != 0 } {
#   error "Problems were encountered while executing the example design script, please review the log files."
# }
INFO: [Common 17-206] Exiting Vivado at Fri Apr  8 12:11:37 2016...
open_example_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1032.035 ; gain = 0.000 ; free physical = 5252 ; free virtual = 14753
# close_project
# if { [get_msg_config -count -severity ERROR] == 0 } {
#     # Write output cookie file
#     set outfile [open "$xci_file.out" w]
#     puts $outfile "This file was auto-generated by viv_generate_ip.tcl and signifies that IP generation is done."
#     close $outfile
# } else {
#     exit 1
# }
INFO: [Common 17-206] Exiting Vivado at Fri Apr  8 12:11:38 2016...
BUILDER: Releasing IP location: /opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma
make[1]: *** [/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci.out] Erreur 1
make[1]: quittant le répertoire « /opt/fpga-master/usrp3/top/x300 »
make: *** [X300_HGS] Erreur 2

Hors ligne

#3 Le 08/04/2016, à 12:35

eiger

Re : Demande d'aide pour instaler l'environement d'un FPGA

À ta place, je commencerais par regarder les licenses :

Attempting to get a license for feature 'Synthesis' and/or device 'xc7k325t'
WARNING: [Common 17-348] Failed to get the license for feature 'Synthesis' and/or device 'xc7k325t'
1 Infos, 1 Warnings, 0 Critical Warnings and 1 Errors encountered.
synth_design failed
ERROR: [Common 17-345] A valid license was not found for feature 'Synthesis' and/or device 'xc7k325t'. Please run the Vivado License Manager for assistance in determining
which features and devices are licensed for your system.
Resolution: Check the status of your licenses in the Vivado License Manager. For debug help search Xilinx Support for "Licensing FAQ". 
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
ERROR: [Vivado 12-398] No designs are open

Et d'ailleurs la doc en parle :

Doc a écrit :

Build Environment Setup
Download and Install Xilinx Tools

Download and install Xilinx Vivado or Xilinx ISE based on the target USRP.

    The recommended installation directory is /opt/Xilinx/ for Linux and C:\Xilinx in Windows
    Please check the Xilinx Requirements document above for the FPGA technology used by your USRP device.
    You may need to acquire a synthesis and implementation license from Xilinx to build some USRP designs.
    You may need to acquire a simulation license from Xilinx to run some testbenches

Hors ligne